Bitcoin Forum

Bitcoin => Bitcoin Discussion => Topic started by: Come-from-Beyond on February 17, 2016, 07:34:53 PM



Title: Approximate Bitcoin Mining
Post by: Come-from-Beyond on February 17, 2016, 07:34:53 PM
http://rakeshk.crhc.illinois.edu/dac_16_cam.pdf claims an interesting thing:

Quote
Bitcoin is the most popular cryptocurrency today. A bedrock
of the Bitcoin framework is mining, a computation intensive
process that is used to verify Bitcoin transactions for profit.
We observe that mining is inherently error tolerant due to its
embarrassingly parallel and probabilistic nature. We exploit
this inherent tolerance to inaccuracy by proposing approximate
mining circuits that trade off reliability with area and
delay. These circuits can then be operated at Better Than
Worst-Case (BTWC) to enable further gains. Our results
show that approximation has the potential to increase mining
profits by 30%.


Any thoughts on how it might change Bitcoin if at all?


Title: Re: Approximate Bitcoin Mining
Post by: odolvlobo on February 18, 2016, 01:42:22 AM
It is a description of an improvement to SHA-256 hardware. A 30% increase in profits seems presumptuous since an increased hash rate does not necessarily result in an increased profit.


Title: Re: Approximate Bitcoin Mining
Post by: 2112 on February 18, 2016, 02:26:53 AM
Sergio Demian-Lerner has discussed this in February of 2015 on his blog:

https://bitslog.wordpress.com/2015/02/17/faster-sha-256-asics-using-carry-reduced-adders/

Basically it is an interesting idea, but neither Sergio nor those 3 guys discussed how it could be affected by the overall pipeline design. It seems like those guys from UIUC considered only one (or maybe two) pipeline layouts (the alternate drawn in dashed lines).

Much better science would be to consider way more pipeline layouts including something extreme like 32-way pipelined ripple-carry-adder that adds two 32-bit integers in 32 clocks. It seems slow, but the area is unbeatable. At least those guys explicitly discussed area*delay products. But it doesn't seem like they carried this to the ultimate conclusion of power/hash rate and area/hash rate (or better yet price/hash rate).

But it is the only paper that I've seen that was actually brave enough to include the plain ripple-carry-adder (RCA) in the final comparison tables and graphs.


Title: Re: Approximate Bitcoin Mining
Post by: 7788bitcoin on February 18, 2016, 03:50:47 AM
Apologies for being a layman and does not know much about the math/cryptography art behind this.

Am I correct that the guys are trying to push more "rubbish" to the "error tolerant" protocol to increase their "mining power"?
Will this in long run affect the system in anyway?

Sorry I also have no idea what I am talking about...


Title: Re: Approximate Bitcoin Mining
Post by: 2112 on February 18, 2016, 04:00:54 AM
Am I correct that the guys are trying to push more "rubbish" to the "error tolerant" protocol to increase their "mining power"?
No. This would just push up a little the observed hardware error rate of the mining chips. With this design even the ideal, perfect chip would have shown some errors. But they would never have gotten out beyond the cgminer/bfgminer/other mining software because that software always cross-checks the hardware results with software implementation of SHA256D.