Bitcoin Forum
May 07, 2024, 02:58:44 AM *
News: Latest Bitcoin Core release: 27.0 [Torrent]
 
   Home   Help Search Login Register More  
Pages: « 1 2 3 [4] 5 6 »  All
  Print  
Author Topic: An estimate of fpga performance  (Read 51418 times)
FooDSt4mP
Full Member
***
Offline Offline

Activity: 182
Merit: 100


View Profile
April 29, 2011, 10:04:36 PM
 #61


http://www.achronix.com/products/speedster22i.html

700K Luts @ 1.5GHz

Now we're getting somewhere?  Grin
At an unknown $ amount. Why can't these people just put a dollar amount next to their product?

Or better yet, a BTC amount Wink.

As we slide down the banister of life, this is just another splinter in our ass.
In order to get the maximum amount of activity points possible, you just need to post once per day on average. Skipping days is OK as long as you maintain the average.
Advertised sites are not endorsed by the Bitcoin Forum. They may be unsafe, untrustworthy, or illegal in your jurisdiction.
1715050724
Hero Member
*
Offline Offline

Posts: 1715050724

View Profile Personal Message (Offline)

Ignore
1715050724
Reply with quote  #2

1715050724
Report to moderator
1715050724
Hero Member
*
Offline Offline

Posts: 1715050724

View Profile Personal Message (Offline)

Ignore
1715050724
Reply with quote  #2

1715050724
Report to moderator
randomguy7
Hero Member
*****
Offline Offline

Activity: 527
Merit: 500


View Profile
April 30, 2011, 02:00:17 PM
 #62

About how many hashes could a fpga like that do?
pusle
Member
**
Offline Offline

Activity: 89
Merit: 10


View Profile
April 30, 2011, 07:00:40 PM
 #63


Using fpgaminer's numbers for his CycloneIII-120 board.

90k LE's @70MHz = 70Mhash/sec.


Assuming LE's = LUT's  and it could actually run this design at 1.5GHz ->  10.5 Gigahash/sec
Using the Cast IP -> 6 Gigahash/sec


Another FPGA company has come up with space time reconfig @ 1.6GHz:
http://www.tabula.com/technology/technology.php




phelix
Legendary
*
Offline Offline

Activity: 1708
Merit: 1019



View Profile
April 30, 2011, 08:44:27 PM
 #64

30.4.2011: 6GHash --> ~200$/day

sweet
xyzzy
Newbie
*
Offline Offline

Activity: 8
Merit: 0


View Profile
May 01, 2011, 04:42:11 AM
 #65

Good?
I've gotten 70Mh/s with a Spartan6 LX 150-3, $180 @ 1ea.
he gets the same from a CycloneIII 120-C8, $380 @ 1ea.
and expects about the same from a CycloneIV-E 115-C8, $310 @ 1ea.


Always so negative Sad smells like agenda
Cheeseman
Newbie
*
Offline Offline

Activity: 23
Merit: 0


View Profile
May 01, 2011, 05:00:33 AM
 #66

Good?
I've gotten 70Mh/s with a Spartan6 LX 150-3, $180 @ 1ea.
he gets the same from a CycloneIII 120-C8, $380 @ 1ea.
and expects about the same from a CycloneIV-E 115-C8, $310 @ 1ea.


Always so negative Sad smells like agenda

Also, those are prices for just the FPGA without the board. The best price I've seen for the FPGA + board is $330 for a CycloneIV dev kit.
ttul
Member
**
Offline Offline

Activity: 70
Merit: 10


View Profile
May 10, 2011, 10:51:42 PM
 #67


http://www.achronix.com/products/speedster22i.html

700K Luts @ 1.5GHz

Now we're getting somewhere?  Grin
At an unknown $ amount. Why can't these people just put a dollar amount next to their product?

Because they're selling these things in very low volumes and/or haven't yet set up a distributor network. You can count on them being priced at >$10K per unit if there is no price list. Otherwise their sales and marketing engine won't be profitable.
bitcoinBull
Legendary
*
Offline Offline

Activity: 826
Merit: 1001


rippleFanatic


View Profile
May 10, 2011, 11:30:47 PM
 #68

Good?
I've gotten 70Mh/s with a Spartan6 LX 150-3, $180 @ 1ea.
he gets the same from a CycloneIII 120-C8, $380 @ 1ea.
and expects about the same from a CycloneIV-E 115-C8, $310 @ 1ea.


Always so negative Sad smells like agenda

Also, those are prices for just the FPGA without the board. The best price I've seen for the FPGA + board is $330 for a CycloneIV dev kit.

But, if you were going to mine with FPGAs you wouldn't use a dev board, you'd use multiple boards each with an array of FPGA chips.



That's from the Copacobana: Cost-Optimized Parallel COde Breaker.

Don't think I've seen it mentioned here before.

Its successor uses the Spartan6 LX150(T), the Rivyera: http://www.sciengines.com/products/computers-and-clusters/rivyera-s6-lx150.html

Starts at EUR 20'000 (16 count FPGA).

RIVYERA S6-LX150
FPGA Type: Xilinx Spartan-6 LX150
FPGA count min. 16 to max. 128
Price from EUR 19'900 to 86'900

RIVYERA S3-5000
FPGA Type: Xilinx Spartan-3 5000
FPGA count min. 16 to max. 128
Price from EUR 16'900 to EUR 58'900

RIVYERA V4-SX35
FPGA Type: Xilinx Spartan-6 LX150
FPGA count 128
Price above EUR 1 million




College of Bucking Bulls Knowledge
ttul
Member
**
Offline Offline

Activity: 70
Merit: 10


View Profile
May 10, 2011, 11:41:28 PM
 #69

...
That's from the Copacobana: Cost-Optimized Parallel COde Breaker.

Don't think I've seen it mentioned here before.

Its successor uses the Spartan6 LX150(T), the Rivyera: http://www.sciengines.com/products/computers-and-clusters/rivyera-s6-lx150.html

Starts at EUR 20'000 (16 count FPGA).

RIVYERA S6-LX150
FPGA Type: Xilinx Spartan-6 LX150
FPGA count min. 16 to max. 128
Price from EUR 19'900 to 86'900

RIVYERA S3-5000
FPGA Type: Xilinx Spartan-3 5000
FPGA count min. 16 to max. 128
Price from EUR 16'900 to EUR 58'900

RIVYERA V4-SX35
FPGA Type: Xilinx Spartan-6 LX150
FPGA count 128
Price above EUR 1 million

These prices are 10x the $ / MHash/s cost of a 5970 board. But I would imagine vastly more efficient in terms of power consumption.
fpgaminer
Hero Member
*****
Offline Offline

Activity: 560
Merit: 517



View Profile WWW
May 19, 2011, 12:19:15 AM
 #70

It has been a little while since I submitted an update on my progress, so here we go.

Area Improvement: <80K LUTs for 80MH/s
I recently did another round of area optimization on one of my designs. As I suspected, it now successfully fits on a Cyclone3 C80 device. This is the 80MH/s design, so it achieves the theoretical 1MH/s = 1K LUT numbers that I had on the back of my napkin.

The next step is to synthesize for a Cyclone4 C75 device, which might be a very tight fit. The Cyclone 4s are a bit cheaper and use slightly less power. Also, if it does fit into 75K LUTs, then it is likely that two of the same design will fit into a C150. That would achieve a total of 160MHash/s.


New Parts Coming In
I have a Xilinx Spartan-6 LX150T-3 development board coming in soon. My goal here is to achieve 160MHash/s on this single chip. Estimates predict that it will be possible, but may be very difficult. We shall see.

Goals: Achieve 160MHash/s on a Spartan-6 LX150-3, which is a sub-$200 chip. That's $1.25USD per MH/s. The average cost of a complete GPU mining rig is $1 USD per MH/s. This goal would bring me very close to achieving GPU parity, and it most certainly will continue to exceed GPUs in power and temperature performance.

I also ordered an Ethernet module and hope to use it to make the FPGA miner completely independent. Plug and profit!


Power Consumption Measured
I now have a Kill-a-Watt, which measures the amount of electricity drawn "at the wall" by any device. Using this, I measured the "at the wall" power consumption of my Cyclone-4 50MHash/s design. It was 8Watts. Quite impressive, considering that this is for the entire development kit and power inefficiency of the power supplies.

Also, the Cyclone-4 required no cooling. No fan, no heat sink. It chugged along happily.  Smiley Unlike my noisy mining rigs...



caston
Hero Member
*****
Offline Offline

Activity: 756
Merit: 500



View Profile WWW
May 19, 2011, 12:57:16 AM
 #71

fpgaminer: where do you order your dev kits from?

bitcoin BTC: 1MikVUu1DauWB33T5diyforbQjTWJ9D4RF
bitcoin cash: 1JdkCGuW4LSgqYiM6QS7zTzAttD9MNAsiK

-updated 3rd December 2017
aahzmundus
Hero Member
*****
Offline Offline

Activity: 644
Merit: 500


Invest & Earn: https://cloudthink.io


View Profile
May 19, 2011, 04:16:33 AM
 #72

This is awesome.  I am starting to invest in mining equipment but this looks like it may be better.  Too bad I have no ability to do this on my own and I doubt you would release your miner without compensation...

Do you have plans to release it? Should someone start a bounty?

bitdiver
Newbie
*
Offline Offline

Activity: 6
Merit: 0


View Profile
May 19, 2011, 12:22:38 PM
Last edit: May 19, 2011, 02:28:43 PM by bitdiver
 #73

A devel board with a LX150 ? I was only aware of the Digilent Atlys Spartan-6 with a LX45 for about 140 EUR. From which company do you source it ?
Google found a PCIe card from Enterpoint in the UK with a XC6SLX150T for £480.00 tax excl, a bit much for a devel board.

Having read through the post concerning bitcoin mining with fpgas here I wonder whether the DSP48A1 slice in the newer Spartan-6 can be put to good use, since it has a nice adder.

Seems that I must pull out my old Spartan 3E devel board to have a better look. But that doesn't have dsp slices. Darn.


eturnerx
Member
**
Offline Offline

Activity: 84
Merit: 10


View Profile
May 19, 2011, 03:41:39 PM
 #74

The Xilinx Spartan-6 LX150T-3 has a PCI-e connector, does that mean it has to be mounted in a computer for comms and power? It's a nice board otherwise - I just don't want to have to buy another computer!
bitdiver
Newbie
*
Offline Offline

Activity: 6
Merit: 0


View Profile
May 19, 2011, 05:54:54 PM
 #75


Regarding a devel board with a Xilinx Spartan-6

There is a massive fpga compute board here:
http://www.dinigroup.com/new/DNBFC_S12_PCIe.html
I don't know what it costs though, but I doubt that it'll come at a bargain price.
The 2 gb ram per fpga is not needed for computing sha256 hashes.

There is a devel board from Avnet
http://www.em.avnet.com/ctf_shared/evk/df2df2usa/xlx-s6-lx150t-dev-pb122409.pdf
http://www.silica.com/products/highlight/product/xilinxR-spartanR-6-lx150t-development-kit.html
No idea about availability. Price stated in the PDF and website is USD 995,-






fpgaminer
Hero Member
*****
Offline Offline

Activity: 560
Merit: 517



View Profile WWW
May 19, 2011, 09:24:27 PM
 #76

Quote
Yes, I got the one from Avnet.

Quote
The Xilinx Spartan-6 LX150T-3 has a PCI-e connector, does that mean it has to be mounted in a computer for comms and power?
It's just a comm link, like the 20 some odd other interfaces on the bloody thing Tongue It has its own power supply and can run just fine without a computer.

Quote
Having read through the post concerning bitcoin mining with fpgas here I wonder whether the DSP48A1 slice in the newer Spartan-6 can be put to good use, since it has a nice adder.
Oh hey, I forgot about those! Thank you for reminding me  Cheesy Yeah, I have an old Spartan-3E as well, and it indeed only has multipliers Sad But now that I have my LX150 I will certainly give these shiny new DSP48A1 slices a try. I'm skimming the datasheet now, but from a first glance it looks like it only handles 18-bits. Two will have to be strung together to achieve 32-bit. That means 90 "free" adds on the LX150. Not much, but anything is helpful.

Quote
Do you have plans to release it?
Yes

ryepdx
Hero Member
*****
Offline Offline

Activity: 714
Merit: 500


View Profile
May 19, 2011, 09:35:12 PM
 #77

These prices are 10x the $ / MHash/s cost of a 5970 board. But I would imagine vastly more efficient in terms of power consumption.

Um... where are you getting the Mh/s for this thing?
bitdiver
Newbie
*
Offline Offline

Activity: 6
Merit: 0


View Profile
May 19, 2011, 10:41:34 PM
 #78


The way I understand Xilinx' ug389.pdf data sheet you appear to just refer to the pre-adder, which is indeed 18 bits + 18 bits.

However the post-adder is 48 bits wide. Do have a look at ug389.pdf, especially p. 10 , figure 1-1 and 1-2.

You see the inputs a, b and d, which are 18 bits each. They can be concatenated with opcode[1:0] set to 11. Then set opcode[3:2] to 11 to select input c as the other input source of the post-adder.
Finally please see p. 22, table 1-7 and have a look at the 5th entry from the end. The eq. describing the output of the dsp48 is given there as: P = C ± (D:A:B + CIN)

That should do nicely to speed up the adder at the end of each sha256 round.

If you could compare your design's performace with and without the use of the dsp48a1 slice and share the resulting specs, then that would certainly satisfy my curiosity Wink

Are you aware of articles like this one, which suggest ways to improve the implementations, or did you use the opencore or write your own ?
http://ce.et.tudelft.nl/publicationfiles/1194_657_SHA2.pdf
http://ce.et.tudelft.nl/publicationfiles/1194_657_springer-SHA-2.pdf
http://ce.et.tudelft.nl/publicationfiles/1429_657_04560238.pdf
en3r0
Newbie
*
Offline Offline

Activity: 13
Merit: 0


View Profile
May 25, 2011, 03:01:04 AM
 #79

This is really exciting, be sure to keep us posted!
itsagas
Newbie
*
Offline Offline

Activity: 59
Merit: 0


View Profile
May 29, 2011, 01:54:45 AM
 #80

Does anyone happen to know how much the Xilinx Spartan-6 LX150T chips are in bulk (ie. with no board, just the chip).  Say buying by the 100s or 1000s to produce ones own boards, so we can see economic viability. 
Pages: « 1 2 3 [4] 5 6 »  All
  Print  
 
Jump to:  

Powered by MySQL Powered by PHP Powered by SMF 1.1.19 | SMF © 2006-2009, Simple Machines Valid XHTML 1.0! Valid CSS!